blob: 3e9627d01385446682b00bdb81d94da1496cf2b9 [file] [log] [blame]
1. store_src_rg coords = src.rg
2. init_lane_masks CondMask = LoopMask = RetMask = true
3. zero_slot_unmasked ok = 0
4. copy_constant $0 = 0xFFFFFFFF
5. copy_slot_unmasked ok = $0
6. copy_4_constants $0..3 = colorGreen
7. copy_4_slots_unmasked green = $0..3
8. copy_4_constants $0..3 = colorRed
9. copy_4_slots_unmasked red = $0..3
10. zero_slot_unmasked param = 0
11. copy_constant $0 = 0xFFFFFFFF
12. copy_slot_unmasked x = $0
13. zero_slot_unmasked $0 = 0
14. copy_slot_unmasked [do_side_effect].result = $0
15. copy_slot_unmasked $0 = x
16. copy_slot_unmasked param = $0
17. copy_slot_unmasked $0 = [do_side_effect].result
18. copy_constant call = 0xFFFFFFFF
19. store_condition_mask $8 = CondMask
20. copy_slot_unmasked $9 = ok
21. copy_slot_unmasked $10 = param
22. bitwise_and_int $9 &= $10
23. copy_slot_unmasked $10 = call
24. bitwise_and_int $9 &= $10
25. copy_4_slots_unmasked $0..3 = red
26. merge_condition_mask CondMask = $8 & $9
27. copy_4_slots_unmasked $4..7 = green
28. copy_4_slots_masked $0..3 = Mask($4..7)
29. load_condition_mask CondMask = $8
30. copy_4_slots_unmasked [main].result = $0..3
31. load_src src.rgba = [main].result